显示页面 讨论 修订记录 反向链接 索引 这是根据 命名空间 排列的所有可访问页面的索引。 2 4 8 16 and_gate eide midi nand_gate nor_gate not_gate or_gate pic_icsp playground ps wiki xor_gate 万用表 三色灯颜色和亮度控制 串口接口引脚定义 串口监视系统设计 乘法器 今日头条 代码风格 以太网接口引脚定义 任意波形产生 位运算符 信号发生器 卡诺图 变量 四值逻辑 国际单位制作词头 图片显示系统设计 基于树莓派的双通道高速adc的设计 基本语法 基本门电路 光度传感器 光纤电缆的颜色代码 关系运算符 分贝表 向量 呼吸灯 大学生电子设计竞赛 字符串 学习主板 实数 实验1-1_2输入基本门电路 实验1-2_多输入基本门电路 实验1-3_卡诺图变换 实验2-1_2选1选择器 实验2-2_4位比较器 实验2-3_译码器 实验3-1_译码器 小脚丫step-baseboard实验平台硬件说明 屏幕保护系统设计 嵌入式系统设计 嵌入式系统 布尔逻辑门 布尔逻辑 常数 常用电子元器件 常见错误 并行接口引脚定义 序列检测器 开发板1 开发板2 开发板8 开关信息 开关稳压 引脚分频说明 微机原理与接口 快速上手step-max10 快速上手step-mxo2-c 抽象级别 拼接位运算符 按键消抖 最新培训 条件运算符 手势识别 扩展模块_传感器 扩展模块_无线测试 扩展模块_电子琴 扩展模块_计算器 扩展模块_led点阵 数字万年历设计 数字温湿度计设计 数字电路基础 数据类型 数码管模块 整数 旋转编码器控制 旋转编码器模块 旋转调节系统设计 无线电频率 无线路由器 时钟 智能接近系统设计 智能手机 智能手环 标准插座引脚定义 标准电容表 标准电阻表 标识符 树莓派 核心板_altera_max08m02 核心板_altera_max08m08 核心板_lattice_xo2 模块例化 比赛计分系统设计 波形信号发生器设计 测试测量仪器 流水灯 温度传感器模块 温度计 直流电压测量 矩阵按键模块 矩阵键盘键入系统设计 火线接口引脚定义 点亮led灯 物联网系统 物质电阻率表 电子百科100讲 电容标记代码表 电感 电池 电源系统设计 电赛临时页面 电赛临时页面2 电路原理图符号 码制 硬件系统两月综合实战培训 硬禾实战营verilog代码规范 示波器 移动位运算符 符号和简写表 简易电压表设计 简易电子琴设计 算术运算符 篮球24秒计时器 线性稳压 线网 苹果30针连接头引脚定义 蜂鸣器模块 自己设计一款cpu 行业展会 表决器 设计工具小程序 评估套件 译码器 软件安装及配置 载流量表 运算符的优先级 运算符 近十年电子设计大赛题目 适配板_树莓派 适配板_arduino 逻辑分析仪 逻辑运算符 逻辑_0 阻塞赋值_非阻塞赋值 频谱仪 1._点亮led 1bit_data_comparator 1bitfulladd 1bithalfadd 2._rgb_led 2_to_4_decoder 2bit_data_comparator 2x32_32_mux 3._3-8译码器 3_to_8_decoder 4._数码管显示 4_2_encoder 4_to_1_data_selector 4_to_10_decoder 4_to_16_decoder 4bit_parity_check 4bits_adder_seg 5._时钟分频 5_to_32_decoder 6._led流水灯 7段数码管 7-seg_disp_verilog 7bit_shift_reg 8._计时控制 8_3_encoder 9._呼吸灯 10._交通灯 25通道电话电缆颜色代码 51mcu 78xx芯片 78xx 79xx 2016-06-22 2017最强毕业生两月实训 2017第一期fpga两周实训 2019年全国大学生电子设计大赛通知 7400系列ic 8051 adamtaylorfpga adas adc数模转换模块 adc adi_eval-cn0234-sdpz_开发板 altera_1led altera_2rgbled altera_3ymq altera_4seg altera_5clk altera_6led altera_7deb altera_8timer altera_9breath altera_10tra altera_corp alu alu_fpga always analog_circuit_learning_kit analog_devices_inc analog_discovery_2_参考手册 and android智能硬件一月实战培训 arduino ascii表 asic assign async_verilog_source atx电源接口引脚定义 automatic avr awg和swg线规 baseboard_arduino baseboard_rpi basys3开发板 basys_3 bcd_to_7seg_decoder bch_encoder beaglebone_black_开发板 begin binary2bcd binary_multiplication blink blink_test bom breath_led breath_led.v breath_led_test.v buf bufif0 bufif1 case casex casez circuitjs_digital cisc cmos code_system color_led.v competition contest_board_dds contest_training_board counters cpld cpu的基础知识 d_ff dac数模转换模块 dac data_comparator data_selector dcm dcpowersupply ddr3 dds dds_verilog deassign debounce.v debounce1.v decimal_bcd_encoder decode38.v decode38_test.v default defparam designtool dev_board dialog_semiconductor diamond digital_circuit_exp digital_logic_adder digital_logic_decoder digital_logic_demux digital_logic_encoder digital_logic_mux digital_logic_tutorial digital_system_design dip40 disable display_port引脚定义 dled_disp dll dokuwiki dragonboard_410c dsp dvi接口引脚定义 electronics_design_contest else embedded_core_board end endcase endfunction endgenerate endmodule endprimitive endspecify endtable endtask esp32 esp8266 event ext_calculator ext_dds ext_dot ext_extend ext_osc ext_piano ext_sensor ext_wifimodule fifo flip-flops for force forever fork fpga万人大赛 fpga三周实训 fpga内部ram fpga大赛临时页面 fpga时钟处理 fpga的配置 fpga管脚 fpga编程一周速成 fpga编程3天速成 fpga编程_pcb设计三周实训 fpga项目_使用arduino玩转fpga fpga项目_接口 fpga项目_扩展模块 fpga fpga_arduino_8051 fpga_competition fpga_contest fpga_contest_training_board fpga_design_process fpga_design_tool fpga_ext_board fpga_hardware fpga_implementation_process fpga_project_advanced fpga_project_basic fpga_project_medium fpga_soft_core fpga_start freq_div function gates.v gates_tb.v generate genvar harvard结构 hb_led hdmi接口引脚定义 hdtv highz0 highz1 how2digital i2c i2c_adc_scope_fpga i2c_master_core i2s ice40_pico_board if include initial inout input instance integer jack接口引脚定义 jk_ff johnson_ring_counter join jtag keyboard_encoder kicad使用指南 kicad原理图库构建 kicad原理图绘制 kicad封装库构建 kicad电路板布局布线 kicad简介 kicad设计流程 kicad kits_practice_together large latches lattice_fpga lattice_semiconductor lcd显示控制 lcd显示模块 ldo learn2usefpga led led_comblogic ledprojects leds_on_stepboard leds_on_sw lightness.v linear_technology linkit_7687_hdk_开发板 lm317 lm7805 localparam logic_analyzer logic_decoder logic_demux logic_encoder logic_mux lora lt1117 m2k_instru macromodule matrix_led max10_debounce max10m02 max10m08 mc8051软核 mc34063 mcu medium mico8单片机 mico8在lattice_mxo2_fpga上的实现 mico32处理器 midi引脚定义 miniprog_docs module mosfet msp430 msp430fr4133_launchpad_开发套件 multi_leds_on multimeter mux_2_1 mux_4_1 mxo2_deboune nand navbar nbiot nbit_adder negedge nios_ii介绍及资料 nmos nor not notif0 notif1 nucleo_l073rz obd-ii车用连接器引脚定义 oc8051软核 octal_binary_encoder oled12864_verilog on_semiconductor one_led_on online_ide or oscilloscope output parameter parity_generator_and_check pc_molex_莫仕_接口引脚定义 pcb设计工具kicad pcb设计流程及规范 pdmi引脚定义 pic pic32 play_fpga_like_arduino play_fpga_like_arduino_step_test_app pll pmos pocket_instrument posedge power_integrations primitive priority_encoder project ps2键盘模块 pull0 pull1 pulldown pullup pulse_gen pulse_gen.v pwm pwm_verilog pynq qorvo quartus安装及配置 quartus_prime的使用 quartus_prime rca接口引脚定义 rcmos real realtime reg reindeer_step reindeer_step_arduino_app1 release repeat rgb_led rgb_led_on_sw ring_counter ripple_carry_adder risc risc_v rnmos rom rotaryencoder_verilog rpi rpmos rs-232 rtran rtranif0 rtranif1 s端子接口引脚定义 scalared scart接口引脚定义 scope_verilog sd_card second_watch_counter sensirion serial_bus serial_detect.v serial_detect_test.v shift_reg sid sidebar sigfox siggen signalgenerator signed simple_scope_fpga skyworks_solutions small smd封装尺寸 specify specparam spi spread_analyzer sr_ff st_nucleo-f746zg start step网站结构 step-baseboard step-baseboard_v2.2 step-cyc10 step-max10入门教程 step-max10 step-mxo2入门教程 step-mxo2外设驱动 step-mxo2第一代 step-mxo2第二代 step-mxo2项目实战 step-mxo2-c step-mxo2 step-mxo2_实验一_基本逻辑门 step-mxo2_实验三_数码管显示 step-mxo2_实验二_基本组合逻辑电路 step_debug_products step_module step_training_board step_wifi stepdevkit stepfpga stepfpga_code_spec stepfpgaboard stepmxo2-lab3 stepmxo2-lab5 stepmxo2-lab7 stepmxo2-lab8 stepmxo2-lab9 stepmxo2-lab10 stepmxo2-lab11 stepmxo2-lab12 stepmxo2-lab13 stepmxo2-lab14 stepmxo2-lab15 stepmxo2-lab16 stepmxo2-lab17 stepmxo2-lab18 stepmxo2-lab19 stepmxo2-lab20 stepmxo2-lab21 stepmxo2-lab22 stm8 stm8a-discovery开发套件 stm32l152开发板 stm32100e-eval_stm32100 strong0 strong1 supply0 supply1 syntax table task test texas_instruments_inc textbook_examples thread time traffic_led_verilog training_contest tran tranif0 tranif1 tri tri0 tri1 triand trior trireg uart串口模块 uart uart_verilog uhd unsigned usb接口引脚定义 usb vectored vendor_list verilog基础 verilog未完成 verilog语法快速参考 verilog语法结构 verilog vesa连接器引脚定义 vga接口引脚定义 vga显示模块 vivado_design_suite von_neumann结构 wait wand water_led.v water_led_test.v waterfall_led weak0 weak1 weixin-board welcome what_is_fpga while why2learndigitalcircuits wifi_esp8266通信系统设计 wikipedia上关于i2c的介绍 wikipedia上关于jtag的定义 wire wire_reg wireless_comm wor xilinx_ise xlp及dmx接口引脚定义 xnor xo2-4000hc xo2-4000hcd xo2-4000hcu xor